xserver-multidpi/test/sync
Eric Anholt 5cbfa27654 test: Add basic SYNC tests.
I couldn't find any, and I was modifying the implementation, so I had
to write some.  I would like the test to end with a "make sure there
weren't any stray unchecked errors", but I didn't figure out how to do
that.

v2: Extend sync tests to cover alarm delta and waitvalue changes.

Signed-off-by: Eric Anholt <eric@anholt.net>
Reviewed-by: Keith Packard <keithp@keithp.com>
2017-09-20 13:19:27 -04:00
..
meson.build test: Add basic SYNC tests. 2017-09-20 13:19:27 -04:00
sync.c test: Add basic SYNC tests. 2017-09-20 13:19:27 -04:00